当前位置:首页 期刊杂志

基于CPLD的PPM脉位调制系统设计

时间:2024-05-04

陈珺

【摘要】    PPM信号具有发送功率低的特点,在光通信领域应用广泛。首先根据PPM的基本原理得到PPM调制解调系统的模型,接着使用Quartus II工具软件编写VHDL程序实现该PPM系统,该系统能实现PPM信号产生、PPM信号解调等功能,最后把编译好的程序下载到可编程逻辑器件CPLD实验板上进行测试,从硬件电路上实现了PPM调制解调系统的功能。

【关键词】    PPM     调制    解调

Abstract: The PPM signal has the characteristics of low transmission power, is widely used in the field of optical communication. Firstly, according to the basic principle of PPM to get the PPM modulation and demodulation system model, and then use the Quartus II tool software to write VHDL program to implement the PPM system. The system can realize the PPM signal, PPM signal demodulation function. Finally the compiled program is downloaded to the programmable logic device CPLD experiment board for testing, the hardware circuit implementation of PPM modulation and demodulation system function.

Key words: PPM, Modulation, Demodulation.

引言:

我们可以通过改变脉冲信号的幅度、位置和周期将数字序列变换成脉冲序列。变换的方法有脉冲幅度调制(Pulse-Amplitude Modulation,PAM),脉冲位置调制(Pulse Position Modulation,PPM),脉冲周期调制(Pulse-Duration Modulation,PDM)。本论文研究脉冲位置调制,PPM是利用脉冲位置的改变来表示信息的调制方法,最早由美国工程师约翰·罗宾森·皮尔斯提出并应用于空间通信[1]。PPM调制方式相比通断键控调制能量传输效率高,光功率利用率和频带利用率更高,能够有效的节约信道资源。PPM还具有编码简单,抗干扰能力强的优点。PPM仅需根据数字符号控制脉冲位置,不需对脉冲幅度和脉冲极性进行控制,能以较低的复杂度实现调制与解调,PPM特别适用于对要求低平均功率传输信息的场合。PPM信号调制广泛的应用于光通信、深空光通信及超宽带移动通信等现代通信前沿技术领域[2]。

目前,利用EDA工具,采用可编程器件,通过设计芯片来实现系统功能的基于芯片的设计方法正逐步取代传统的设计方法。本论文采用复杂可编程逻辑器件CPLD来设计实现PPM调制和解调器,CPLD包括可编程逻辑宏单元、可编程输入/输出单元和可编程内部连线三部分。具有高级程度、高速度、高可靠性的显著特点。本文采用ALTERA公司的EPM7128SLC84器件作为CPLD实验电路芯片,该芯片有84个引脚,包括电源引脚、地线引脚、JTAG引脚等,其中有64个可用I/O引脚,这些I/O引脚能够任意配置为输入、输出和双向传输方式,封装形式为PLCC84。CPLD可以完成极其复杂的时序与组合逻辑电路功能,具有规模大、开发过程投资小、可以反复编程擦除、开发工具智能化、功能强大等特点,符合可编程逻辑器件发展的需求。

整个设计过程的软件环境为Altera公司的Quartus? II软件。并采用硬件描述语言VHDL编写程序。Quartus II作为一种可编程逻辑的设计软件, 具有强大的设计能力和直观易用的接口,具有完备的电路功能仿真与时序逻辑仿真,它是具有方便的设计输入方式、快速的编译和直接易懂的器件编程软件。

一、PPM脈冲位置调制器设计

PPM的原理是将一段时间等分成M个时隙,这M个时隙和一个保护时间构成了一帧的时间,这一帧时间就是一个PPM信号,其中一帧时间内的某个时隙发出一个脉冲,就是PPM脉冲信号。假设传输一帧PPM信号需要T秒,则信息传递速率为1/T (bit/s)。

PPM调制系统主要是对携带信息量的数字基带信号进行调制得到窄脉冲PPM信号,这种信号的抗干扰能力强,能够适应信道传输。PPM调制实际上就是一个计数输出脉冲的过程,当计数值与调制的进制数相等时就输出帧信号。

1.1 调制器原理

本文设计的PPM调制器结构模型如图1所示。图中的PPM调制部分在信道左边,从左至右依次为串/并变换器、二进制分频器、比较器和窄脉冲形成器。

串/并变换器的作用是一个二进制加法器,设输入信号为s,当s=1时,经过加法器变为10,当s=0时,经过加法器变为00[3]。图2中的二进制分频器设计为一个4分频器,输出高低2位二进制信号,对应四种状态(00、01、10、11),每种状态依次输出,不同的状态对应不同的时间位置。串/并变换器只输出两种状态(00、10),而分频器有四种输出状态(00、01、10、11),它们分别输入至比较器中,当分频器输出(00、10)这两种状态时,与加法器输出状态相同,此时比较器输出为“1”,其他情况下则输出“0”。在一个分频周期内,比较器只输出一个“1”脉冲,该脉冲的起始位置随信码的电平发生变化,比较器输出随信码的电平不同脉冲起始位置不同的数字信号。窄脉冲形成器是一个D触发器,它对比较器的输出信号进行整形就得到了窄脉冲PPM信号[4]。

下面以信码序列“10110110”为例,调制过程如图3所示,分频器依次输出00、01、10、11四个电平,而加法器(串/并转换器)则陆续输出00、10两个电平,且加法器输出的一个电平持续时间是分频器输出一个电平持续时间的4倍。而当分频器输出的高低位分别与加法器输出的高低位相同时,则脉冲形成器输出一个高电平,这时得到的即为PPM信号。然后将PPM经过一个D触发器,D触发器对信号进行一个时钟周期的延迟再反相,反相后的信号与比较器的输出信号做与运算,避免出现毛刺。

1.2 调制器软件仿真

利用Quartus-II软件设计的PPM调制器的VHDL顶层电路图如图4所示。

二、 PPM脉冲位置解调器设计

解调是调制的逆过程,目的是把PPM信号还原出原始数字基带信号。输出的PPM脉冲信号经过信道送到解调器,时钟电路从脉冲信号中提取出时隙信号和帧信号,帧信号的作用是对计数器清零,PPM脉冲信号经脉冲位置检测器和译码器输出。

2.1解调器原理

PPM信号解调器的系统结构如图2所示,它包括整形电路、最长及最短脉冲位置检测电路、加法器和译码器等。整形电路对接收到的PPM调制信号整形[5],主要有D触发器和反相器两部分组成。最长脉冲位置检测电路的功能是把高低电平互换,实现PPM信号的倒相,然后用低电平对计数器清零,用高电平对时钟进行计数,只有当计数器的值=5时输出高电平,其他情况输出低电平,这样就把信号从“0”跳变到“1”的位置检测出来(上升沿)。本文利用移位的方法来检测最短脉冲的间距,进而检测出最短脉冲位置的信号。但是检测出的“0”、“1”跳变的PPM位置信号,并不代表实际数据信号变化的位置,还要将检出的最短脉冲位置信号进行移位,与检出的最长脉冲位置信号一起输入加法器进行相加运算,加法器输出的数据信号才是真实跳变的位置。译码器将数据信号的电平跳变位置信号转换成电平变化的基带信码。

2.2解调器Quartus-II软件仿真

利用Quartus-II软件设计的PPM解调器的VHDL顶层电路图如图5所示。

三、硬件系统测试

接着将设计好的Quartus工程文件下载到相应的CPLD器件即ALTERA公司的EPM7128SLC84芯片中,进行最后的系统硬件测试,测试的结果用示波器显示如图6和图7。图6中波形1为输入的数字信号,波形2为该数字信号经过PPM调制之后输出的信号,从波形2可看出已经正确得到了PPM信号。图7中波形1是输入的数字信号,波形2是PPM信号经过解调之后的波形,两个波形基本一致,波形2有少量的时间延迟,说明已经正确解调出该输入数字信號。通过硬件电路的测试表明,本论文设计出了一个可靠的PPM调制解调系统。

参考文献:

[1]张淼,邱昆,邱琪,宋玉娥.脉冲位置调制的字同步技术[J].电子科技大学学报,2000,29(4):381-383.

[2]王红星,朱银兵,张铁英,孙晓明.无线光通信调制方式性能分析[J].激光与光电子学进展,2006,43(6):240-243.

[3]仲建锋,胡庆生, 孙远 .基于FPGA的高速串并/并串转换器设计[J].现代电子技术,2014,37(8):151-152.

[4]丁德强,柯熙政.大气激光通信PPM调制解调系统设计与仿真研究[J].光通信技术,2005,29(1):50-52.

[5]段吉海,  郑继禹 , 仇洪冰  ,林基明 .UWB通信系统的TH-PPM信号产生与接收处理[J].桂林电子工业学院学报,2005,25(3):20-24.

参  考  文  献

[1]张淼,邱昆,邱琪,宋玉娥.脉冲位置调制的字同步技术[J].电子科技大学学报,2000,29(4):381-383.

[2]王红星,朱银兵,张铁英,孙晓明.无线光通信调制方式性能分析[J].激光与光电子学进展,2006,43(6):240-243.

[3]仲建锋,胡庆生, 孙远 .基于FPGA的高速串并/并串转换器设计[J].现代电子技术,2014,37(8):151-152.

[4]丁德强,柯熙政.大气激光通信PPM调制解调系统设计与仿真研究[J].光通信技术,2005,29(1):50-52.

[5]段吉海,  郑继禹 , 仇洪冰  ,林基明 .UWB通信系统的TH-PPM信号产生与接收处理[J].桂林电子工业学院学报,2005,25(3):20-24.

作者简介:陈 珺,云南交通职业技术学院讲师,硕士,主要从事轨道交通通信新技术研究。

免责声明

我们致力于保护作者版权,注重分享,被刊用文章因无法核实真实出处,未能及时与作者取得联系,或有版权异议的,请联系管理员,我们会立即处理! 部分文章是来自各大过期杂志,内容仅供学习参考,不准确地方联系删除处理!